Question

3 implement a 16 to 4 priority encoder in verilog