Question

Level 2 - Design of an 8bit+8bit BCD Adder You task is to design an BCD adder, that adds two two-digit BCD numbers. The structure of a 4bit BCD adder is

as follows: Your submission must include the following: Design your BCD adder in VHDL; this must be structural for the top-level Simulate your design and show correct behaviour; the input and output vectors must be shown in decimal . ALL VHDL sources . carry out All simulation screenshots Sum> 97 carry out binary adder binary. adder Sum 0 carry in

Fig: 1